Enabling BTIM dump commands in a command-line simulator |
|||
Enabling BTIM dump commands in a command-line simulator |
You can use SynaptiCAD's btim commands by launching your cmd line simulator with the appropriate options (the BugHunter/VeriLogger GUI is not required). This is particularly useful for directly dumping BTIM waveform files instead of dumping VCD files, as the simulation will run much faster and the resulting files are much smaller.
Below are the appropriate syncad PLI libraries for each simulator and the command line options to load the library for that simulator. The PLI libraries are located in the Synapticad\bin directory.
VHDL
•ActiveVHDL: vsim -callbacks -pli syncadactivevhdl
•ModelSim SE (PE and XE not supported): vsim.exe -c -foreign "initForeign syncadmodelsimvhdl"
•Cadence NC VHDL: ncsim.exe -LOADCFC syncadncvhdl:register_syncad_tasks
Verilog
•ActiveVerilog: vlog.exe -pli syncadactiveverilog
•ModelSim Verilog: vsim.exe -c -pli syncadmodelsimverilog
•Cadence NC Verilog: ncverilog.exe +access +rwc +loadvpi=syncadncverilog:register_syncad_tasks
•VeriLogger Extreme (simx): simx.exe +access +rwc +loadvpi=syncadncverilog:register_syncad_tasks
•VeriLogger (vlogcmd): vlogcmd.exe +loadpli1=syncadvlogcmd.dll:register_default_tasks,register_syncad_tasks
•VCS: Btim PLI not supported